• Home
  • Converting RGB Images to Gray-Scale by the Weighted Average Method, based on Shift-and-Add Technique on the Combination of Color Components for Reducing the Computational Units and Errors in FPGA
Manuscript ID : JIPET-2212-1885 (R2) Visit : 150 PDF XML

Article Type: Original Research